Auswahl der wissenschaftlichen Literatur zum Thema „Technologie FDSOI-28nm“

Geben Sie eine Quelle nach APA, MLA, Chicago, Harvard und anderen Zitierweisen an

Wählen Sie eine Art der Quelle aus:

Machen Sie sich mit den Listen der aktuellen Artikel, Bücher, Dissertationen, Berichten und anderer wissenschaftlichen Quellen zum Thema "Technologie FDSOI-28nm" bekannt.

Neben jedem Werk im Literaturverzeichnis ist die Option "Zur Bibliographie hinzufügen" verfügbar. Nutzen Sie sie, wird Ihre bibliographische Angabe des gewählten Werkes nach der nötigen Zitierweise (APA, MLA, Harvard, Chicago, Vancouver usw.) automatisch gestaltet.

Sie können auch den vollen Text der wissenschaftlichen Publikation im PDF-Format herunterladen und eine Online-Annotation der Arbeit lesen, wenn die relevanten Parameter in den Metadaten verfügbar sind.

Zeitschriftenartikel zum Thema "Technologie FDSOI-28nm"

1

Karel, Amit, Florence Azaïs, Mariane Comte, Jean-Marc Gallière und Michel Renovell. „Analytical Models for the Evaluation of Resistive Short Defect Detectability in Presence of Process Variations: Application to 28nm Bulk and FDSOI Technologies“. Journal of Electronic Testing 35, Nr. 1 (Februar 2019): 59–75. http://dx.doi.org/10.1007/s10836-019-05776-1.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen

Dissertationen zum Thema "Technologie FDSOI-28nm"

1

Fonseca, Alexandre. „Conception et réalisation de circuits de génération de fréquence en technologie FDSOI 28nm“. Thesis, Nice, 2015. http://www.theses.fr/2015NICE4100/document.

Der volle Inhalt der Quelle
Annotation:
Le déploiement à grande échelle de l’internet des objets nécessite le développement de systèmes de radiocommunication plus économes en énergie, dont le circuit de génération de fréquences est connu pour être particulièrement énergivore. L’objectif de ce travail de thèse est donc d’une part de développer une synthèse de fréquences très faible consommation et d’autre part de démontrer les performances de la technologie FDSOI pour des applications analogiques et radiofréquences. Dans le premier chapitre sont présentées les spécifications du standard choisi -le BLE-, les spécificités de la technologie FDSOI et l'état de l’art des architectures de transmetteurs radiofréquences à faible consommation. Nous avons retenue de cette comparaison l'architecture à division par phases. Le deuxième chapitre présente les résultats de trois types de modélisation système de l’architecture ; 1 - le fonctionnement de ses composants et les points clés à respecter pour son implémentation, 2 - le comportement en bruit de phase pour la définition des spécifications, et 3 - l’impact de l’architecture sur la génération de raies spectrales parasites. Cette étude nous a permis de fixer le cahier des charges du VCRO développé au chapitre suivant. Le troisième chapitre est consacré à la conception, la réalisation et le test de 4 topologies de VCROs en technologie FDSOI 28nm et d'un circuit de test. Les premiers résultats de mesure sont encourageants mais nécessitent d’être complétés par des mesures avec PLL fractionnaire intégrée. En effet, la sensibilité des circuits à la tension d’alimentation (pushing de l’ordre de 5 GHz/V) a rendu les mesures du bruit de phase très délicates
The large-scale deployment of IoT requires the development of more efficient energy radio systems, within which the frequency generation circuit is known to be particularly energy-consuming. The objective of this thesis is firstly to develop a very low consumption frequency synthesis and secondly to demonstrate the performance of the FDSOI technology for analog and RF applications.In the first chapter are the specifications of the chosen standard -the BLE-, the specifications of the FDSOI technology and state of the art of low power radio frequency synthesizers architecture. We have chosen from this comparison the Fractional Phase Divider architecture. The second chapter presents the results of three types of system simulations of the PLL; 1 - the operation of its components and the key points to be respected for its implementation, 2 - the phase noise behavior for the definition of specifications, and 3 - the impact of architecture on the generation of spurious. This study allowed us to set the specifications of VCROs developed in the next chapter. The third chapter is dedicated to the design, implementation and testing of four topologies of VCROs and a test circuit in FDSOI 28nm technology. The first measurement results are encouraging but they need to be complemented by an integrated fractional PLL measurement. Indeed, the sensitivity of the circuits to the supply voltage (pushing of about 5 GHz/V) made measurements of phase noise very delicate. The measured consumption is less than 0.8 mA and the surface of the circuits is of the order of 600 µm².In the fourth and final chapter we present the implementation at circuit-level of a phase synchronization PLL
APA, Harvard, Vancouver, ISO und andere Zitierweisen
2

Daubriac, Richard. „Caractérisation de techniques d'implantations ioniques alternatives pour l'optimisation du module source-drain de la technologie FDSOI 28nm“. Thesis, Toulouse, INSA, 2018. http://www.theses.fr/2018ISAT0031/document.

Der volle Inhalt der Quelle
Annotation:
Durant ces dernières années, l’apparition de nouvelles architectures (FDSOI, FinFETs ou NW-FETs) et l’utilisation de nouveaux matériaux (notamment SiGe) ont permis de repousser les limites des performances des dispositifs MOS et de contourner l’effet canal court inhérent à la miniaturisation des composants. Cependant, pour toutes ces nouvelles architectures, la résistance de contact se dégrade au fil des nœuds technologiques. Celle-ci dépend fortement de deux paramètres physiques : la concentration de dopants actifs proches de la surface du semi-conducteur et de la hauteur de barrière Schottky du contact siliciuré. De multiples procédés avancés ont été proposé pour améliorer ces deux paramètres physiques (pré-amorphisation, recuit laser, ségrégation de dopants, etc…). Afin d’optimiser les conditions expérimentales de ces nouvelles techniques de fabrication, il est primordial de pouvoir caractériser avec fiabilité leur impact sur les deux grandeurs physiques citées. Dans le cadre de cette thèse, deux thématiques dédiées à l’étude de chacun des paramètres sont abordées, explicitant les méthodes de caractérisation développées ainsi que des exemples concrets d’applications. La première partie concerne l’étude de la concentration de dopants actifs proches de la surface du semi-conducteur. Dans cet axe, nous avons mis en place une méthode d’Effet Hall Différentiel (DHE). Cette technique combine gravures successives et mesures par effet Hall conventionnel afin d’obtenir le profil de concentration de dopants actifs en fonction de la profondeur. Nous avons développé et validé une méthode de gravure chimique et de mesure électrique pour des couches ultra-minces de SiGe et de Si dopées. Les profils de concentration générés ont une résolution en profondeur inférieure à 1 nm et ont permis d’étudier de façon approfondie dans les premiers nanomètres proches de la surface de couches fabriquées grâce à des techniques d’implantation et de recuit avancées comme par exemple, la croissance en phase solide activée par recuit laser. La deuxième partie porte sur la mesure de hauteurs de barrière Schottky pour des contacts siliciurés. Durant cette étude, nous avons transféré une technique se basant sur des diodes en tête bêche pour caractériser l’impact de la ségrégation de différentes espèces à l’interface siliciure/semi-conducteur sur la hauteur de barrière Schottky d’un contact en siliciure de platine. Cette méthode de mesure associée à des simulations physiques a permis d’une part, d’extrairer avec fiabilité des hauteurs de barrières avec une précision de 10meV et d’autre part, d’effectuer une sélection des meilleures conditions de ségrégation de dopants pour la réduction de la hauteur de barrière Schottky. Pour conclure, ce projet a rendu possible le développement de méthodes de caractérisation pour l’étude de matériaux utilisés en nanoélectronique. De plus, nous avons pu apporter des éclaircissements concernant l’impact de techniques d’implantation ionique alternatives sur des couches de Si et SiGe ultrafines, et ce, dans le but de réduire la résistance de contact entre siliciure et semi-conducteur dans le module source-drain de transistors ultimes
During the past few decades, the emergence of new architectures (FDSOI, FinFETs or NW-FETs) and the use of new materials (like silicon/germanium alloys) allowed to go further in MOS devices scaling by solving short channel effect issues. However, new architectures suffer from contact resistance degradation with size reduction. This resistance strongly depends on two parameters: the active dopant concentration close to the semi-conductor surface and the Schottky barrier height of the silicide contact. Many solutions have been proposed to improve both of these physical parameters: pre-amorphisation, laser annealing, dopant segregation and others. In order to optimize the experimental conditions of these fabrication techniques, it is mandatory to measure precisely and reliably their impact on cited parameters.Within the scope of this thesis, two parts are dedicated to each lever of the contact resistance, each time precising the developed characterization method and concrete application studies. The first part concerns the study of the active dopant concentration close to the semi-conductor surface. In this axis, we developed a Differential Hall Effet method (DHE) which can provide accurate depth profiles of active dopant concentration combining successive etching processes and conventional Hall Effect measurements. To do so, we validated layer chemical etching and precise electrical characterization method for doped Si and SiGe. Obtained generated profiles have a sub-1nm resolution and allowed to scan the first few nanometers of layers fabricated by advanced ion implantation and annealing techniques, like solid-phase epitaxy regrowth activated by laser annealing. In the second part, we focused on the measurement of Schottky barrier height of platinum silicide contact. We transferred a characterization method based on back-to-back diodes structure to measure platinum silicide contacts with different dopant segregation conditions. The electrical measurements were then fitted with physical models to extract Schottky barrier height with a precision of about 10meV. This combination between measurements and simulations allowed to point out the best ion implantation and annealing conditions for Schottky barrier height reduction.To conclude, thanks to this project, we developed highly sensitive characterization methods for nanoelectronics application. Moreover, we brought several clarifications on the impact of alternative ion implantation and annealing processes on Si and SiGe ultra-thin layers in the perspective of contact resistance reduction in FDSOI source-drain module
APA, Harvard, Vancouver, ISO und andere Zitierweisen
3

Bernard, Sébastien. „Bascules à impulsion robustes en technologie 28nm FDSOI pour circuits numériques basse consommation à très large gamme de tension d'alimentation“. Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENT071/document.

Der volle Inhalt der Quelle
Annotation:
Avec l'explosion du marché des applications portables et le paradigme de l'Internet des objets, la demande pour les circuits à très haute efficacité énergétique ne cesse de croître. Afin de repousser les limites de la loi de Moore, une nouvelle technologie est apparue très récemment dans les procédés industriels afin de remplacer la technologie en substrat massif ; elle est nommée fully-depleted silicon on insulator ou FDSOI. Dans les circuits numériques synchrones modernes, une grande portion de la consommation totale du circuit provient de l'arbre d'horloge, et en particulier son extrémité : les bascules. Dès lors, l'architecture adéquate de bascules est un choix crucial pour atteindre les contraintes de vitesse et d'énergie des applications basse-consommation. Après un large aperçu de l'état de l'art, les bascules à impulsion explicite sont reconnues les plus prometteuses pour les systèmes demandant une haute performance et une basse consommation. Cependant, cette architecture est pour l'instant fortement utilisée dans les circuits à haute performance et pratiquement absente des circuits à basse tension d'alimentation, principalement à cause de sa faible robustesse face aux variations.Dans ce travail, la conception d'architecture de bascule à impulsion explicite est étudiée dans le but d'améliorer la robustesse et l'efficacité énergétique. Un large panel d'architectures de bascule, avec les fonctions reset et scan, a été comparé dans le domaine énergie-délais, à haute et basse tension d'alimentation, grâce à une méthodologie de dimensionnement des transistors. Il a été montré que la technique dite de « back bias », l'un des principaux avantages de la technologie FDSOI, permettait des meilleures performances en énergie et délais que la méthodologie de dimensionnement. Ensuite, comme le générateur d'impulsion est la principale raison de dysfonctionnement, nous avons proposé une nouvelle architecture qui permet un très bon compromis entre robustesse à faible tension et consommation énergétique. Une topologie de bascule à impulsion explicite a été choisie pour être implémentée dans un banc de registres et, comparé aux bascules maître-esclave, elle présente une plus grande vitesse, une plus faible consommation énergétique et une plus petite surface
The explosion market of the mobile application and the paradigm of the Internet of Things lead to a huge demand for energy-efficient systems. To overcome the limit of Moore's law due to bulk technology, a new transistor technology has appeared recently in industrial process: the fully-depleted silicon on insulator, or FDSOI.In modern ASIC designs, a large portion of the total power consumption is due to the leaves of the clock tree: the flip-flops. Therefore, the appropriate flip-flop architecture is a major choice to reach the speed and energy constraints of mobile and ultra-low power applications. After a thorough overview of the literature, the explicit pulse-triggered flip-flop topology is pointed out as a very interesting flip-flop architecture for high-speed and low-power systems. However, it is today only used in high-performances circuits mainly because of its poor robustness at ultra-low voltage.In this work, explicit pulse-triggered flip-flops architecture design is developed and studied in order to improve their robustness and their energy-efficiency. A large comparison of resettable and scannable latch architecture is performed in the energy-delay domain by modifying the sizing of the transistors, both at nominal and ultra-low voltage. Then, it is shown that the back biasing technique allowed by the FDSOI technology provides better energy and delay performances than the sizing methodology. As the pulse generator is the main cause of functional failure, we proposed a new architecture which provides both a good robustness at ultra-low voltage and an energy efficiency. A selected topology of explicit pulse-triggered flip-flop was implemented in a 16x32b register file which exhibits better speed, energy consumption and area performances than a version with master-slave flip-flops, mainly thanks to the sharing of the pulse generator over several latches
APA, Harvard, Vancouver, ISO und andere Zitierweisen
4

González, Santos Ángel de Dios. „Circuits de traitement de signal numérique en temps continu ultra-faible consommation en technologie 28nm FDSOI pour applications audio“. Thesis, Lille 1, 2020. http://www.theses.fr/2020LIL1I047.

Der volle Inhalt der Quelle
Annotation:
L’objectif de ce travail c’est l’étude et développement d’un système d’extraction des caractéristiques en utilisant techniques de traitement de signal en temps continu, afin de mitiger les inconvénients des implémentations existants basées en techniques analogiques et numériques conventionnelles, d’un système toujours en veille pour l’Internet des Objets. La cible est l’extraction du contenu spectral d’un signal audio en utilisant une nouvelle architecture basée en une cascade configurable de filtres à réponse impulsionnelle fini en temps continu. Un schéma efficace pour cascader des filtres est obtenu grâce aux techniques proposées pour l’élimination des glitches et du codage delta. Par ailleurs, ce travail introduit une fonction en temps continu pour estimer la puissance instantanée dans des bandes de fréquences sélectionnées et construire un spectrogramme à la sortie. Le système proposé à 12-bandes fréquentielles a été validée par des simulations comportementales. L’élément clé pour l’implémentation de ce système est un élément de délai numérique. Un nouveau élément de retard a été conçu et fabriquée en technologie 28 nm FDSOI et atteints une plage de délai record entre 30 ns et 97 µs avec une consommation de puissance de 15fJ/événement. En extrapolant ce résultat, le système proposé atteints une consommation approximée de 2.85 µW lors du traitement d’un signal vocal produit par une femme, tandis que la consommation statique est autours de 100 nW dans les périodes où il n’y a pas d’activité. Donc, la performance en termes de consommation moyenne d’énergie de ce système surpasse celle des implémentations dans l’état de l’art
The focus of this work is the study and development of a feature extraction system using Continuous-Time Digital Signal Processing (CT DSP) techniques, to mitigate the drawbacks of existing implementations based on traditional analog and digital solutions of always-on monitoring sensors for the Internet of Things (IoT). The target is to extract the spectral content of an audio signal using a novel architecture based on a cascade of configurable CT DSP Finite Impulse Response (FIR) filters. An efficient cascade scheme is enabled by the proposed glitch elimination and delta encoding techniques. Additionally, this work introduces a CT function to estimate the instantaneous power within selected frequency bands to build an output spectrogram. The proposed 12-band system has been validated using behavioral simulations. The key element for the implementation of this system is the digital delay element. A new delay element has been designed and fabricated in 28nm FDSOI technology and achieves a record tuning range from 30 ns to 97 µs with a power consumption of 15 fJ/event. By extrapolating this result, the system would have an overall peak power consumption of 2.85 µW when processing typical female speech, while consuming approximately 100 nW when no events are generated. Thus, the average system power consumption outperforms state-of-the-art feature extraction circuits
APA, Harvard, Vancouver, ISO und andere Zitierweisen
5

Sarimin, Nuraishah. „Transmitter design in the 60 GHz frequency band“. Thesis, Paris 6, 2017. http://www.theses.fr/2017PA066638.

Der volle Inhalt der Quelle
Annotation:
Avec la prolifération des appareils électroniques portables et mobiles communicants, il est recommandé de pouvoir échanger des données rapidement et commodément entre les appareils. Avec la pénurie de bande passante et la congestion dans le spectre des fréquences faibles, la technologie de communication à ondes millimétriques (Mm-wave) est considérée comme l'une des technologies clés du futur pour permettre des applications sans fil à débit élevé grâce à son large spectre abondant. Les nœuds de technologie CMOS avancés sont dotés de ft et fmax plus élevés qui permettent une utilisation peu coûteuse et généralisée de ce spectre. Cependant, de nombreux défis associés à la conception de circuits et de systèmes RF millimétriques en utilisant des technologies CMOS avancées ont été identifiés. L’amplificateur de puissance (PA) a été identifié comme étant le bloc le plus difficile à concevoir dans un émetteur-récepteur intégré RF millimétrique. Le concept au niveau du système de l’architecture basse puissance est d’abord étudié et des blocs clés tels que l’antenne 60 GHz et le modulateur OOK dans la technologie CMOS 130nm ont été présentés. Cette thèse explore également les défis de conception de l’amplificateur de puissance à ondes millimétriques dans la technolgie 28nm UTBB-FDSOI. Trois conceptions différentes d’amplificateur de puissance de 60 GHz ont été démontrées dans 28nm LVT FDSOI : 1) Un PA cascode à deux étages, 2) Un PA différentiel à deux étages à base de transformateur, 3) Un PA différentiel à deux étages à puissance combinée. Les performances simulées, y compris la prise en compte des parasites principaux de disposition ont été présentées. Les travaux futurs incluront l’intégration sur puce avec le PA
With the proliferation of portable and mobile electronic devices, there is a strong need to exchange data quickly and conveniently between devices encouraging to overcome challenges in bandwidth shortages and congestion in the lower frequencies spectrum. Millimeter-wave (Mm-wave) technology is considered as one of the future key technologies to enable high data rates wireless applications due to its large abundant spectrum. Advanced CMOS technology nodes comes with high ft and fmax, enable low cost and widespread use of this spectrum. However, many associated challenges ranging from device, circuit and system perspectives for the implementation of a highly integrated mm-wave transceiver especially the power amplifier (PA) which identified to be the most challenging RF block to be designed. The system level concept of low power architecture is firstly studied and key blocks such as 60 GHz antenna and OOK modulateur in 130nm CMOS technology were presented. This thesis also explores the design challenges of mm-wave power amplifier in 28nm UTBB-FDSOI technology. Three different designs of 60 GHz power amplifier were demonstrated in 28nm LVT FDSOI : 1) A two-stage cascode PA, 2) A two-stage differential PA with low-km TMN, 3) A power combined two-stage differential PA with low-km TMN. The simulated performance including the consideration of key layout parasitics were presented. Future work will include for on-chip integration with the PA
APA, Harvard, Vancouver, ISO und andere Zitierweisen
6

Sourikopoulos, Ilias. „Techniques de traitement numérique en temps continu appliquées à l'égalisation de canal pour communications millimétriques à faible consommation“. Thesis, Lille 1, 2015. http://www.theses.fr/2015LIL10189/document.

Der volle Inhalt der Quelle
Annotation:
Les récepteurs pour les communications sans fil très haut débit à 60 GHz tirent profit des innovations des liens filaires afin de réduire le budget de puissance, ce qui permettra l'intégration de la prochaine génération des terminaux portables sans fil. L’implémentation d’un égaliseur de canal à décision rétroactive, utilisant des signaux mixtes, est proposé pour diminuer la consommation globale du système. Dans ce mémoire, la réduction de consommation est atteinte par l'élimination de l'horloge du chemin de rétroaction de l’égaliseur. Inspiré par des récents développements en traitement des signaux numériques en temps continu, une ligne à retard numérique est aussi introduite. Le système conçu vise à atténuer les effets causés par les réflexions du signal dans des contextes de transmission en contact visuel entre le transmetteur et le récepteur. Les résultats théoriques montrent ainsi une consommation dépendante de la réalisation du canal. En outre, un élément de délai numérique programmable est proposé en tant qu’élément granulaire de la ligne à retard, en exploitant la polarisation de substrat des transistors, afin d’atteindre un réglage des délais extrêmement fin. Des démonstrateurs sur Silicium ont été fabriqués et caractérisés en technologie 28 nm FDSOI (Fully Depleted Silicon Over Insulator) pour démontrer les concepts proposés dans cette thèse
Receivers for 60GHz wireless communications have been profiting from innovation in wired links in order to meet a power budget that will enable integration in next‐generation high-speed portable wireless terminals. Mixed‐signal implementations of the Decision Feedback Equalizer (DFE) have been proposed to alleviate overall system consumption. In this thesis, power minimization is pursued by removing the clock from the feedback path of the DFE. Inspired by recent developments in Continuous‐Time Digital Signal Processing, a continuous‐time digital delay line is used. The design aims at mitigating wireless channel impairments caused by signal reflections in typical Line‐of‐Sight, indoors deployment conditions. The system is shown theoretically to achieve channel‐dependent power consumption within acceptable Bit Error Rate performance for decoding. Moreover, a programmable digital delay element is proposed as the granular element of the delay line that exploits body biasing to achieve a coarse/fine functionality. Prototype DFE and delay lines have been fabricated and characterized in 28nm Fully Depleted Silicon Over Insulator technology (FDSOI)
APA, Harvard, Vancouver, ISO und andere Zitierweisen
7

Biswas, Avishek Ph D. Massachusetts Institute of Technology. „Energy-efficient SRAM design in 28nm FDSOI Technology“. Thesis, Massachusetts Institute of Technology, 2014. http://hdl.handle.net/1721.1/91095.

Der volle Inhalt der Quelle
Annotation:
Thesis: S.M., Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science, 2014.
48
Cataloged from PDF version of thesis.
Includes bibliographical references (pages 75-81).
As CMOS scaling continues to sub-32nm regime, the effects of device variations become more prominent. This is very critical in SRAMs, which use very small transistor dimensions to achieve high memory density. The conventional 6T SRAM bit-cell, which provides the smallest cell-area, fails to operate at lower supply voltages (Vdd). This is due to the significant degradation of functional margins as the supply voltage is scaled down. However, Vdd scaling is crucial in reducing the energy consumption of SRAMs, which is a significant portion of the overall energy consumption in modern micro-processors. Energy savings in SRAM is particularly important for batteryoperated applications, which run from a very constrained power-budget. This thesis focuses on energy-efficient 6T SRAM design in a 28nm FDSOI technology. Significant savings in energy/access of the SRAM is achieved using two techniques: Vdd scaling and data prediction. A 200mV improvement in the minimum SRAM operating voltage (Vdd,min) is achieved by using dynamic forward body-biasing (FBB) on the NMOS devices of the bit-cell. The overhead of dynamic FBB is reduced by implementing it row-wise. Layout modifications are proposed to share the body terminals (n-wells) horizontally, along a row. Further savings in energy/access is achieved by incoporating data-prediction in the 6T read path, which reduces bitline switching. The proposed techniques are implemented for a 128Kb 6T SRAM, designed in a 28nm FDSOI technology. This thesis also presents a reconfigurable fully-integrated switched-capacitor based step-up DC-DC converter, which can be used to generate the body-bias voltage for a SRAM. 3 reconfigurable conversion ratios of 5/2, 2/1 and 3/2 are implemented in the converter. It provides a wide range of output voltage, 1.2V-2.4V, from a fixed input of 1V. The converter achieves a peak efficiency of 88%, using only on-chip MOS and MOM capacitors, for a high density implementation.
by Avishek Biswas.
S.M.
APA, Harvard, Vancouver, ISO und andere Zitierweisen
8

Mahmoud, Doaa. „Convertisseur analogique-numérique de type Sigma-Delta Passe-Bande avec résonateurs à un et deux amplificateurs“. Electronic Thesis or Diss., Sorbonne université, 2021. http://www.theses.fr/2021SORUS288.

Der volle Inhalt der Quelle
Annotation:
Le récepteur radio logicielle (SDR) est une technique prometteuse pour les futurs récepteurs adaptés à une variété de protocoles. Il numérise le signal RF directement en basse fréquence. Nous proposons un récepteur SDR basé sur un modulateur sigma-delta à temps continu passe-bande (CT BP ). Nous nous concentrons sur les résonateurs RC actifs pour diminuer la surface du circuit. Nous ciblons les applications au voisinage de 400 MHz, à savoir Advanced Research and Global Observation Satellite (ARGOS), Medical Implant Communication Service (MICS), Automobile Keyless system et Industrial, Scientific and Medical (ISM). Nous présentons une nouvelle comparaison détaillée entre le modulateur CT BP à résonateur à deux amplificateurs et le modulateur CT BP à résonateur à un amplificateur. Les deux modulateurs sont conçus à l'aide de transistors MOS en technologie FDSOI-28nm, où nous utilisons la polarisation du caisson pour compenser les variations de processus, de tension et de température
Software defined radio receiver is a promising technique for future receivers which provides a variety of protocols. It digitizes the RF signal directly to low-frequency. We propose an SDR receiver based on a bandpass sigma delta modulator. The most essential element is the loop filter, there are two main configurations, an LC tank resonator and an active RC resonator. We focus on the active RC resonators for a low chip area. We target applications in the vicinity of 400 MHz, namely Advanced Research and Global Observation Satellite, Medical Implant Communication Service. We introduce a new comparison between the two-op-amp resonator CT BP sigma delta modulator and the one-op-amp resonator CT BP sigma delta modulator. We study the sensitivity of the quality factor and the signal to noise ratio to the DC-gain op-amps in two-op-amp resonator sigma delta modulator. It also shows how, in one-op-amp resonator sigma delta modulator, the quality factor and the signal to noise ratio, are very sensitive to any variations in the capacitors values for limited DC-gain op-amps. We establish a mathematical model of the thermal-noise behaviour for two-op-amp resonator CT BP sigma delta modulator. This model matches the circuit simulator results with a good accuracy. Furthermore, we demonstrate that a high quality factor (>100) of the two-op-amp resonators can be achieved by selecting the proper value of the integrator gain at a moderate DC-gain op-amp (35dB). Both sigma delta modulators are designed using flipped-well devices on fully depleted silicon on insulator technology, where we use body biasing to compensate the process, voltage and temperature variations
APA, Harvard, Vancouver, ISO und andere Zitierweisen
9

Lorrain, Vincent. „Etude et conception de circuits innovants exploitant les caractéristiques des nouvelles technologies mémoires résistives“. Thesis, Université Paris-Saclay (ComUE), 2018. http://www.theses.fr/2018SACLS182/document.

Der volle Inhalt der Quelle
Annotation:
Dans cette thèse, nous étudions les approches calculatoires dédiées des réseaux de neurones profonds et plus particulièrement des réseaux de neurones convolutionnels (CNN). En effet, l'efficacité des réseaux de neurones convolutionnels en font des structures calculatoires intéressantes dans de nombreuses applications. Nous étudions les différentes possibilités d'implémentation de ce type de réseaux pour en déduire leur complexité calculatoire. Nous montrons que la complexité calculatoire de ce type de structure peut rapidement devenir incompatible avec les ressources de l'embarqué. Pour résoudre cette problématique, nous avons fait une exploration des différents modèles de neurones et architectures susceptibles de minimiser les ressources nécessaires à l'application. Dans un premier temps, notre approche a consisté à explorer les possibles gains par changement de modèle de neurones. Nous montrons que les modèles dits impulsionnels permettent en théorie de réduire la complexité calculatoire tout en offrant des propriétés dynamiques intéressantes, mais nécessitent de repenser entièrement l'architecture matériel de calcul. Nous avons alors proposé notre approche impulsionnelle du calcul des réseaux de neurones convolutionnels avec une architecture associée. Nous avons mis en place une chaîne logicielle et de simulation matérielle dans le but d'explorer les différents paradigmes de calcul et implémentation matérielle et évaluer leur adéquation avec les environnements embarqués. Cette chaîne nous permet de valider les aspects calculatoires mais aussi d'évaluer la pertinence de nos choix architecturaux. Notre approche théorique a été validée par notre chaîne et notre architecture a fait l'objet d'une simulation en FDSOI 28 nm. Ainsi nous avons montré que cette approche est relativement efficace avec des propriétés intéressantes un terme de passage à l'échelle, de précision dynamique et de performance calculatoire. Au final, l'implémentation des réseaux de neurones convolutionnels en utilisant des modèles impulsionnels semble être prometteuse pour améliorer l'efficacité des réseaux. De plus, cela permet d'envisager des améliorations par l'ajout d'un apprentissage non supervisé type STDP, l'amélioration du codage impulsionnel ou encore l'intégration efficace de mémoire de type RRAM
In this thesis, we study the dedicated computational approaches of deep neural networks and more particularly the convolutional neural networks (CNN).We highlight the convolutional neural networks efficiency make them interesting choice for many applications. We study the different implementation possibilities of this type of networks in order to deduce their computational complexity. We show that the computational complexity of this type of structure can quickly become incompatible with embedded resources. To address this issue, we explored differents models of neurons and architectures that could minimize the resources required for the application. In a first step, our approach consisted in exploring the possible gains by changing the model of neurons. We show that the so-called spiking models theoretically reduce the computational complexity while offering interesting dynamic properties but require a complete rethinking of the hardware architecture. We then proposed our spiking approach to the computation of convolutional neural networks with an associated architecture. We have set up a software and hardware simulation chain in order to explore the different paradigms of computation and hardware implementation and evaluate their suitability with embedded environments. This chain allows us to validate the computational aspects but also to evaluate the relevance of our architectural choices. Our theoretical approach has been validated by our chain and our architecture has been simulated in 28 nm FDSOI. Thus we have shown that this approach is relatively efficient with interesting properties of scaling, dynamic precision and computational performance. In the end, the implementation of convolutional neural networks using spiking models seems to be promising for improving the networks efficiency. Moreover, it allows improvements by the addition of a non-supervised learning type STDP, the improvement of the spike coding or the efficient integration of RRAM memory
APA, Harvard, Vancouver, ISO und andere Zitierweisen
10

Sarimin, Nuraishah. „Transmitter design in the 60 GHz frequency band“. Electronic Thesis or Diss., Paris 6, 2017. http://www.theses.fr/2017PA066638.

Der volle Inhalt der Quelle
Annotation:
Avec la prolifération des appareils électroniques portables et mobiles communicants, il est recommandé de pouvoir échanger des données rapidement et commodément entre les appareils. Avec la pénurie de bande passante et la congestion dans le spectre des fréquences faibles, la technologie de communication à ondes millimétriques (Mm-wave) est considérée comme l'une des technologies clés du futur pour permettre des applications sans fil à débit élevé grâce à son large spectre abondant. Les nœuds de technologie CMOS avancés sont dotés de ft et fmax plus élevés qui permettent une utilisation peu coûteuse et généralisée de ce spectre. Cependant, de nombreux défis associés à la conception de circuits et de systèmes RF millimétriques en utilisant des technologies CMOS avancées ont été identifiés. L’amplificateur de puissance (PA) a été identifié comme étant le bloc le plus difficile à concevoir dans un émetteur-récepteur intégré RF millimétrique. Le concept au niveau du système de l’architecture basse puissance est d’abord étudié et des blocs clés tels que l’antenne 60 GHz et le modulateur OOK dans la technologie CMOS 130nm ont été présentés. Cette thèse explore également les défis de conception de l’amplificateur de puissance à ondes millimétriques dans la technolgie 28nm UTBB-FDSOI. Trois conceptions différentes d’amplificateur de puissance de 60 GHz ont été démontrées dans 28nm LVT FDSOI : 1) Un PA cascode à deux étages, 2) Un PA différentiel à deux étages à base de transformateur, 3) Un PA différentiel à deux étages à puissance combinée. Les performances simulées, y compris la prise en compte des parasites principaux de disposition ont été présentées. Les travaux futurs incluront l’intégration sur puce avec le PA
With the proliferation of portable and mobile electronic devices, there is a strong need to exchange data quickly and conveniently between devices encouraging to overcome challenges in bandwidth shortages and congestion in the lower frequencies spectrum. Millimeter-wave (Mm-wave) technology is considered as one of the future key technologies to enable high data rates wireless applications due to its large abundant spectrum. Advanced CMOS technology nodes comes with high ft and fmax, enable low cost and widespread use of this spectrum. However, many associated challenges ranging from device, circuit and system perspectives for the implementation of a highly integrated mm-wave transceiver especially the power amplifier (PA) which identified to be the most challenging RF block to be designed. The system level concept of low power architecture is firstly studied and key blocks such as 60 GHz antenna and OOK modulateur in 130nm CMOS technology were presented. This thesis also explores the design challenges of mm-wave power amplifier in 28nm UTBB-FDSOI technology. Three different designs of 60 GHz power amplifier were demonstrated in 28nm LVT FDSOI : 1) A two-stage cascode PA, 2) A two-stage differential PA with low-km TMN, 3) A power combined two-stage differential PA with low-km TMN. The simulated performance including the consideration of key layout parasitics were presented. Future work will include for on-chip integration with the PA
APA, Harvard, Vancouver, ISO und andere Zitierweisen

Konferenzberichte zum Thema "Technologie FDSOI-28nm"

1

Kheirallah, Rida, Nadine Azemard und Gilles Ducharme. „Energy study for 28nm FDSOI technology“. In 2015 International Workshop on CMOS Variability (VARI). IEEE, 2015. http://dx.doi.org/10.1109/vari.2015.7456558.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
2

Hutin, Louis, Olivier Rozeau, Veronique Carron, Jean-Michel Hartmann, Laurent Grenouillet, Julien Borrel, Fabrice Nemouchi et al. „Junction technology outlook for sub-28nm FDSOI CMOS“. In 2014 14th International Workshop on Junction Technology (IWJT). IEEE, 2014. http://dx.doi.org/10.1109/iwjt.2014.6842050.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
3

de Albuquerque, T. Chaves, F. Calmon, R. Clerc, P. Pittet, Y. Benhammou, D. Golanski, S. Jouan, D. Rideau und A. Cathelin. „Integration of SPAD in 28nm FDSOI CMOS technology“. In 48th European Solid-State Device Research Conference (ESSDERC 2018). IEEE, 2018. http://dx.doi.org/10.1109/essderc.2018.8486852.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
4

Lee, K., W. J. Kim, J. H. Lee, B. J. Bae, J. H. Park, I. H. Kim, B. Y. Seo et al. „1Gbit High Density Embedded STT-MRAM in 28nm FDSOI Technology“. In 2019 IEEE International Electron Devices Meeting (IEDM). IEEE, 2019. http://dx.doi.org/10.1109/iedm19573.2019.8993551.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
5

Ji, Y., H. J. Goo, J. Lim, S. B. Lee, S. Lee, T. Uemura, J. C. Park et al. „Reliability of 8Mbit Embedded-STT-MRAM in 28nm FDSOI Technology“. In 2019 IEEE International Reliability Physics Symposium (IRPS). IEEE, 2019. http://dx.doi.org/10.1109/irps.2019.8720429.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
6

Kadura, L., O. Rozeau, L. Grenouillet, D. Blachier, N. Rambal, A. Chelnokov und M. Vinet. „1T Linear-Log Response Pixel Sensor in 28nm FDSOI Technology“. In 2018 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 2018. http://dx.doi.org/10.7567/ssdm.2018.j-6-03.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
7

Planes, N., O. Weber, V. Barral, S. Haendler, D. Noblet, D. Croain, M. Bocat et al. „28nm FDSOI technology platform for high-speed low-voltage digital applications“. In 2012 IEEE Symposium on VLSI Technology. IEEE, 2012. http://dx.doi.org/10.1109/vlsit.2012.6242497.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
8

Aragones, Xavier, Alex Alvarez, Juan Pablo Rovayo, Josep Altet und Diego Mateo. „Design of ULV ULP LNAs Exploiting FBB in FDSOI 28nm Technology“. In 2019 XXXIV Conference on Design of Circuits and Integrated Systems (DCIS). IEEE, 2019. http://dx.doi.org/10.1109/dcis201949030.2019.8959894.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
9

Amara, Amara, Navneet Gupta, Khaja Ahmad Shaik, Costin Anghel und Kiyoo Itoh. „Energy efficiency optimization for digital applications in 28nm UTBB FDSOI technology“. In 2015 MIXDES - 22nd International Conference "Mixed Design of Integrated Circuits & Systems". IEEE, 2015. http://dx.doi.org/10.1109/mixdes.2015.7208472.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
10

Arnaud, F. „Enhanced low voltage digital & analog mixed-signal with 28nm FDSOI technology“. In 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S). IEEE, 2015. http://dx.doi.org/10.1109/s3s.2015.7333503.

Der volle Inhalt der Quelle
APA, Harvard, Vancouver, ISO und andere Zitierweisen
Wir bieten Rabatte auf alle Premium-Pläne für Autoren, deren Werke in thematische Literatursammlungen aufgenommen wurden. Kontaktieren Sie uns, um einen einzigartigen Promo-Code zu erhalten!

Zur Bibliographie